鐘控型觸發(fā)器的VHDL描述

發(fā)布時間:2024-08-01
同步清零 library ieee;
use ieee.std_logic_1164.all;
entity dchu is
port (clk,d:in std_logic;
q:out std_logic;
sclk:in std_logic);
end;
architecture ffq of dchu is signal q1:std_logic;
begin
process (sclk,clk,q1)
begin
if clk'event and clk='1' then
if sclk='1' then
q1<='0';
else q1<=d;
end if;
end if;
end process;
q<=q1; end ffq;
異步置位 apre library ieee;
use ieee.std_logic_1164.all;
entity dchu is port ( clk : in std_logic;
d : in std_logic;
q :out std_logic;
apre :in std_logic
); e
nd;
architecture ffq of dchu is signal
q1:std_logic;
begin process (apre,clk,q1)
begin if apre='1'
then q1<='1';
elsif clk'event and clk='1'
then q1<=d;
end if;
end process;
q<=q1; end ffq;
上一個:馬弗爐對煤炭灰分測量的影響
下一個:臥式乳化泵相關(guān)說明

老年用戶的體驗思考:如何保證界面適合閱讀
德國QATM金相切割機(jī),好用的剛剛好
銑床可用于哪些加工?
氣自動灌裝秤主要技術(shù)及配件
廣東省法蘭式保溫硬密封蝶閥
弄懂涂料粉末密度的測量方式
意大利阿托斯ATOS齒輪泵的工作原理
Hawe電磁閥原裝
硬度計的維護(hù)保養(yǎng)
機(jī)械硬盤sata線接主板哪里,硬盤線插在主板的哪個接口上
十八禁 网站在线观看免费视频_2020av天堂网_一 级 黄 色 片免费网站_绝顶高潮合集Videos